アルテラGPIO IP コアのユーザーガイド

ID 683136
日付 5/08/2017
Public
ドキュメント目次

出力および出力イネーブルパス

出力遅延エレメントは、出力バッファーを介してパッドにデータを送信します。

各出力パスには、フルレートとハーフレートの2 つのDDIO ステージが含まれています。

図 4. シングルエンドGPIO 出力パスの簡略図


出力パス波形は入力パス波形とは対称的です。

図 5. 出力イネーブルパスの簡略図


出力パスと出力イネーブル (OE) パスの違いは、OE パスにフルレートのDDIO が含まれていないことです。OE パスでパックドレジスターの実装をサポートするために、シンプルレジスターはフルレートDDIO として動作します。同じ理由で、1 つのハーフレートDDIO しか存在しません。

OE パスは、次の3 つの基本モードで動作します。

  • バイパス:コアはすべてのDDIO をバイパスして、データを遅延エレメントに直接送信します。
  • パックドレジスター:ハーフレートDDIO をバイパスします。
  • ハーフレートでのSDR 出力:ハーフレートDDIO は、データをフルレートからハーフレートに変換します。
注: アルテラGPIO IP コアは、出力パスのダイナミック・キャリブレーションをサポートしていません。出力パスのダイナミック・キャリブレーションを必要とするアプリケーションの場合、関連情報を参照してください。