アルテラGPIO IP コアのユーザーガイド

ID 683136
日付 5/08/2017
Public
ドキュメント目次

データ・インターフェイス信号と対応するクロック

表 7.  データ・インターフェイス信号と対応するクロック
信号名 パラメーター・コンフィグレーション クロック
Register Mode Half Rate Separate Clocks  
din
  • Simple Register
  • DDIO
Off Off ck
DDIO On Off ck_hr
  • Simple Register
  • DDIO
Off On ck_in
DDIO On On ck_hr_in
  • dout
  • oe
  • Simple Register
  • DDIO
Off Off ck
DDIO On Off ck_hr
  • Simple Register
  • DDIO
Off On ck_out
DDIO On On ck_hr_out
  • sclr
  • sset
  • すべてのパッド信号
  • Simple Register
  • DDIO
Off Off ck
DDIO On Off ck_fr
  • Simple Register
  • DDIO
Off On
  • 入力パス:ck_in
  • 出力パス:ck_out
DDIO On On
  • 入力パス:ck_fr_in
  • 出力パス:ck_fr_out