アルテラGPIO IP コアのユーザーガイド

ID 683136
日付 5/08/2017
Public
ドキュメント目次

フルレートまたはハーフレートDDIO 出力レジスター

フルレートとハーフレートDDIO 出力レジスターの出力側は同じです。
表 16.  DDIO 出力レジスター.sdc コマンドの例
コマンド コマンドの例 説明
create_clockcreate_generated_clock

create_clock -name ddio_out_fr_clk -period "200 MHz" ddio_out_fr_clk

create_generated_clock -source ddio_out_fr_clk -name ddio_out_fr_outclk ddio_out_fr_outclk

DDIO へのクロックと送信するクロックを生成します。
set_output_delay

set_output_delay -clock ddio_out_fr_outclk 0.55 ddio_out_fr_data

set_output_delay -add_delay -clock_fall -clock ddio_out_fr_outclk 0.55 ddio_out_fr_data

TimeQuest Timing Analyzer に、出力クロックに対して正のデータと負のデータを解析するように指示します。
set_false_path

set_false_path -rise_from ddio_out_fr_clk -fall_to ddio_out_fr_outclk

set_false_path -fall_from ddio_out_fr_clk -rise_to ddio_out_fr_outclk

TimeQuest Timing Analyzer に、出力クロックの立ち下がりエッジに対するソースクロックの立ち上がりエッジ、および出力クロックの立ち上がりエッジに対するソースクロックの立ち下がりエッジを無視するように指示します。