Quartus® Prime開発ソフトウェア・スタンダード・エディションおよびデバイスサポート・リリースノート

ID 683593
日付 11/07/2016
Public

1.9. EDAインターフェイスに関する情報

表 5.   Quartus Prime® スタンダード・エディションソフトウェア・リリース・バージョン16.1をサポートする合成ツール
合成ツール6 バージョン NativeLinkのサポート
Mentor Graphics® 社 Precision 2016a あり
Synopsys® 社 Synplify、Synplify Pro、Synplify Premier 2.15.06.09 あり
表 6.   Quartus Prime® スタンダード・エディション開発ソフトウェア・リリース・バージョン16.1をサポートするシミュレーション・ツール
シミュレーション・ツール バージョン NativeLinkのサポート

Aldec社 Active-HDL

10.3 (Windowsのみ) あり

Aldec社 Riviera-PRO

2015.10 あり

Cadence社 Incisive Enterprise Simulator (IES)

14.20 (Linuxのみ) あり

Mentor Graphics® ModelSim® PE

10.4d あり

Mentor Graphics社 ModelSim SE

10.4d あり

Mentor Graphics社 ModelSim-インテル ®FPGAエディション

10 あり

Mentor Graphics社 Questa®

10.4d あり

Synopsys® 社 VCSおよびVCS MX

2014.12-SP1 (Linuxのみ) あり

Mentor Graphics社 ModelSim-インテル® FPGAエディション・バージョン10.5b (32ビット・ライブラリー要)のOSサポート

  • Windows 7 SP1 (64ビット)
  • Windows 8.0 (64ビット)
  • Windows 10 (64ビット)
  • Windows Server 2008 R2 SP1 (64ビット)
  • Red Hat Enterprise Linux 5.10 (64ビット)
  • Red Hat Enterprise Linux 6.5 (64ビット)
  • Red Hat Enterprise Linux 7.2 (64ビット)
6 Quartus® Primeソフトウェア・バージョン16.1をサポートするEDA合成ツールは、 Quartus® Primeソフトウェアのリリース後間もなく各ベンダーよりリリースされる予定です。詳細については各ベンダーの顧客窓口までお問い合わせください