記事 ID: 000093240 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2022/12/15

Quartus® II ソフトウェア・バージョン 14.1 以前のインテル® FPGA・タイミング・デザイン・ツールはまだ利用可能ですか?

環境

  • インテル® Quartus® II ソフトウェア
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II ソフトウェア・バージョン 14.1 以前のインテル® FPGAタイミング・デザイン・ツールは、これらのデザインツールに関連する対象インテル® Quartus®・ソフトウェア・バージョンが廃止されているため、intel.com・ウェブサイトでは入手できなくなりました。生産終了したソフトウェアのバージョンの詳細については、 製品製造停止通知 ページを参照してください。

    アセット・タイトル

    ステータス

    Stratix® V IO タイミング 11.0 xls ファイル

    Eol

    Stratix® V IO タイミング 11-0 xls ファイル

    Eol

    Stratix® V IO タイミング 12.0 xls ファイル

    Eol

    Stratix® V IO タイミング 12.0 xlsx ファイル

    Eol

    Stratix® V IO タイミング 12.1 xls ファイル

    Eol

    Stratix® V IO タイミング 12-0 xls ファイル

    Eol

    Stratix® V IO タイミング 12-0 xlsx ファイル

    Eol

    Stratix® V IO タイミング 12-1 xls ファイル

    Eol

    Stratix® V IO タイミング 13.0 xls ファイル

    Eol

    Stratix® V IO タイミング 13.1 xls ファイル

    Eol

    Stratix® V IO タイミング 13-0 xls ファイル

    Eol

    Stratix® V IO タイミング 13-1 xls ファイル

    Eol

    Stratix® IV IO タイミング qii 10.0 xls ファイル

    Eol

    Stratix® IV IO タイミング qii 10-0 xls ファイル

    Eol

    Stratix® IV IO タイミング qii11.0 xls ファイル

    Eol

    Stratix® IV IO タイミング qii11-0 xls ファイル

    Eol

    Stratix® IV IO タイミング qii8p1 zip ファイル

    Eol

    Stratix® IV IO タイミング qii9p0 zip ファイル

    Eol

    Stratix® IV IO タイミング qii9p0sp2 xls ファイル

    Eol

    Stratix® IV IO タイミング qii9p1 xls ファイル

    Eol

    Stratix® IV IO タイミング qii9p1sp1 xls ファイル

    Eol

    10 IO タイミング xls ファイルをインテル® Arria®

    Eol

    インテル® Arria® 10 IO タイミング 14.1 xls ファイル

    Eol

    インテル® Arria® 10 IO タイミング 14-1 xls ファイル

    Eol

    V IO タイミング xls ファイルのArria®

    Eol

    Arria® V IO タイミング 11.1 xls ファイル

    Eol

    Arria® V IO タイミング 11-1 xls ファイル

    Eol

    Arria® V IO タイミング 12.0 xls ファイル

    Eol

    Arria® V IO タイミング 12.1 xls ファイル

    Eol

    Arria® V IO タイミング 12 0 xls ファイル

    Eol

    Arria® V IO タイミング 12-1 xls ファイル

    Eol

    Arria® V IO タイミング 13.1 xls ファイル

    Eol

    Arria® V IO タイミング 13 0 xls ファイル

    Eol

    Arria® V IO タイミング 13 1 xls ファイル

    Eol

    Arria® II GX IO タイミング qii90 zip ファイル

    Eol

    Arria® II GX IO タイミング qii90sp1 zip ファイル

    Eol

    Arria® II GX IO タイミング qii91 zip ファイル

    Eol

    Arria® II GX IO タイミング・スプレッドシート qii10 zip ファイル

    Eol

    Arria® II GX IO タイミング・スプレッドシート qii91sp1 zip ファイル

    Eol

    Arria® II GX IO タイミング・スプレッドシート qii91sp2 zip ファイル

    Eol

    Arria® II IO タイミング qii11.0 xls ファイル

    Eol

    Arria® II IO タイミング・スプレッドシート 101 xls ファイル

    Eol

    Arria® II IO タイミング・スプレッドシート 101 1 xls ファイル

    Eol

    Arria® II IO タイミング・スプレッドシート 101 2 xls ファイル

    Eol

    Cyclone® V IO タイミング 12.0 xls ファイル

    Eol

    Cyclone® V IO タイミング 12.0 xls ファイル

    Eol

    Cyclone® V IO タイミング 12 0 xls ファイル

    Eol

    Cyclone® V IO タイミング 12 0 xls ファイル

    Eol

    Cyclone® V IO タイミング 13.0 xls ファイル

    Eol

    Cyclone® V IO タイミング 13.1 xls ファイル

    Eol

    Cyclone® V IO タイミング 13 0 xls ファイル

    Eol

    Cyclone® V IO タイミング 13 1 xls ファイル

    Eol

    Cyclone® IV E GX IO タイミング qii9 1sp1 ib301 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii10.1 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii10 0 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii10 1 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii11.0 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii11 0 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii12 0 xls ファイル

    Eol

    Cyclone® IV IO タイミング qii91 b221 xls ファイル

    Eol

    Cyclone® IV qii9.1sp2 ib345 IO タイミング xls ファイル

    Eol

    Cyclone® IV qii9 1sp2 ib345 IO タイミング xls ファイル

    Eol

    Cyclone® III LS IO タイミング qii9.1sp1 ib290 xls ファイル

    Eol

    Cyclone® III LS IO タイミング qii90sp2 xls ファイル

    Eol

    Cyclone® III LS IO タイミング qii91 b221 xls ファイル

    Eol

    Cyclone® III LS IO タイミング qiiv91 xls ファイル

    Eol

    HardCopy® IV IO タイミング・スプレッドシート qii 10.1 xls ファイル

    Eol

    HardCopy® IV IO タイミング・スプレッドシート qii 10 1 xls ファイル

    Eol

    Altera® Hardcopy® II クロック不確実性計算機

    Eol

     

    解決方法

    その他のサポートされているインテル® FPGA・デザイン・ツールは、次のページで確認できます。

     

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。