記事 ID: 000085174 コンテンツタイプ: トラブルシューティング 最終改訂日: 2012/09/11

タイミング分析を実行する --sdc オプションを使用してquartus_staを実行する際に、Quartus® II ソフトウェアが制約のないパスを報告するのはなぜですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    タイミング分析を実行するオプションを使用してquartus_sta実行中に、Quartus® II ソフトウェアが制約のないパスを報告することがあります --sdc 。この現象は、完全なコンパイルを実行する際に制約のないパスがない場合でも発生する可能性があります。 --sdc このコマンドのオプションを使用する場合 quartus_sta 、ソフトウェアはタイミング分析に指定した Synopsys Design Constraints(.sdc)ファイルの制約のみを使用しますが、デザインファイルのエンベデッド・タイミング制約は使用しません。

    解決方法

    この現象を回避するには、次のいずれかの操作を行ってください。

    • read_sdc -hdlソフトウェアが組み込み制約を使用するように、指定した.sdcファイルにコマンドを含めます。
    • オプションを削除します --sdc--sdc このオプションを使用しない場合、ソフトウェアはデザインファイルおよびプロジェクト内の .sdc ファイル内のエンベデッド制約を使用してタイミング解析を実行します。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。