記事 ID: 000084845 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2015/04/08

Quartus® II ソフトウェアのコンパイル中に、プログラミング・ファイル変換ユーティリティーを実行するにはどうすればよいですか?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

次の手順を使用すると、Quartus® でのコンパイル中にプログラミング・ファイル変換ユーティリティーを自動的に実行できます。® II ソフトウェア。

  1. [プログラミング・ファイルの変換] を開きます。.[ファイル ] メニュー
  2. 必要なプログラミング・ファイル変換設定を設定する
  3. 変換セットアップ・ファイル(.cof)を保存
  4. 次の説明を含む .tcl ファイルを作成します。

    qqf "quartus_cpf -c .cof"


  5. インテル® Quartus® II セットアップ・ファイル(.qsf)にPOST_FLOW_SCRIPT_FILEアサインメントを追加

    set_global_assignment -name POST_FLOW_SCRIPT_FILE "quartus_sh:.tcl"

  6. [処理] メニューの [コンパイルの開始] をクリックして、完全なコンパイルを実行します。

POST_FLOW_SCRIPT_FILEを使用する場合、 コンフィグレーション処理後 ステータスに表示されます。全コンパイル中にウィンドウに表示されます。

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。