記事 ID: 000084074 コンテンツタイプ: トラブルシューティング 最終改訂日: 2012/09/11

Stratix II デバイスで DDR2 SDRAM ハイパフォーマンス・コントローラー MegaCore または ALTMEMPHY メガファンクションを使用している複数のメモリー・コントローラーまたは PHY インスタンスのタイミング・クロージャーの問題は何ですか?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

Quartus® II ソフトウェア・バージョン 7.1 では、ALTMEMPHY メガファンクション・タイミング制約ファイル (SDC 形式) に既知の問題があります。これらの問題は、専用 PLL クロック出力を使用してメモリークロックを駆動オプションを有効にして複数のメモリー・コントローラーを実装するStratix® II デザインのタイミング・クロージャーに影響します。複数の DDR コントローラー (または ALTMEMPHY のインスタンス化) に対して適切なタイミング分析を実行するには、プロジェクトに追加の SDC ファイルを追加して、 すべてのインスタンス化のクロックを正しく設定します。

 

以下の例では、デザインに 2 つの DDR2/DDR ハイパフォーマンス・コントローラー MegaCore®インスタンスがあり、core1およびcore2のラッパー名が付きます。MegaWizard® プラグイン・マネージャーは、メモリー・インターフェイスを制約するために core1_phy_ddr_timing.sdc core2_phy_ddr_timing.sdc2 つのファイルを作成します。デザインが ALTMEMPHY メガファンクションを (独自のコントローラーで) 直接インスタンス化する場合、ファイル名にはファイル名の_phy部分は含まれません。

 

このデザインには、次の制約を含むaltemphy_general.sdc という新しい SDC ファイルが必要です。

set pll_inclk *core1_phy_alt_mem_phy_sii_inst|clk|*|altpll_component|pll|inclk\[0\]

foreach_in_collection  c [get_pins -compatibility_mode ] { }

set pll_ref_clk [get_node_info -name ]

create_clock -period 10.000

 

set pll_inclk *core2_phy_alt_mem_phy_sii_inst|clk|*|altpll_component|pll|inclk\[0\]

foreach_in_collection  c [get_pins -compatibility_mode ] { }

set pll_ref_clk [get_node_info -name ]

create_clock -period 10.000

 

この例では、コントローラー / PHY の 2 つのインスタンス化を示します。デザインに対して、クロックを適切に制約する同様の SDC ファイルを作成してください。各コントローラー / PHY について、上記の SDC コマンドの名前をインスタンス化名と一致するように変更し、create_clockラインのクロック期間を ALTMEMPHY メガファンクションに提供される基準クロックの期間に合わせて変更します。 インスタンス化が 2 つ以上のデザインの場合、各インスタンスに必要な 4 行を繰り返します。

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。