記事 ID: 000083449 コンテンツタイプ: トラブルシューティング 最終改訂日: 2014/08/29

非 DPA ALTLVDS_RX・インターフェイスの入力クロックソースとして、Stratix V、Arria V、または Cyclone V デバイスでグローバルクロック (GCLK) を使用できますか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    いいえ、グローバル・クロック (GCLK) は、Stratixの非 DPA ALTLVDS_RX・インターフェイスの入力クロックソースとして使用できませんStratix® V、Arria® V またはCyclone® V デバイス。ただし、インテル® Quartus® ソフトウェアの既知の問題により、® II ソフトウェア・バージョン 13.0 では、これが実装されている場合、エラーまたは警告メッセージは生成されません。

     

     

    解決方法 この問題は、Quartus® II ソフトウェア・バージョン 13.0 SP1 で修正されています。非 DPA ALTLVDS_RX・インターフェイスの入力クロックソースとしてグローバルクロック (GCLK) を使用すると、有効なエラーメッセージが生成されます。

    関連製品

    本記事の適用対象: 16 製品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Cyclone® V GX FPGA
    Stratix® V GS FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V FPGA & SoC FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。