記事 ID: 000083091 コンテンツタイプ: トラブルシューティング 最終改訂日: 2018/06/15

インテル® FPGA PCIe* IP が一部のシステムでリンク・トレーニングに失敗するのはなぜですか?

環境

  • V シリーズ Avalon-MM DMA の PCI Express*
  • インテル® Arria® 10 Cyclone® 10 PCI Express* のハード IP
  • Arria® V PCI Express* のハード IP インテル® FPGA IP
  • Avalon-MM Arria® V PCI Express* のハード IP インテル® FPGA IP
  • Arria® V GZ PCI Express* のハード IP インテル® FPGA IP
  • Avalon-MM Arria® V GZ PCI Express* のハード IP インテル® FPGA IP
  • Cyclone® V PCI Express* のハード IP インテル® FPGA IP
  • Avalon-MM Cyclone® V PCI Express* のハード IP インテル® FPGA IP
  • PCI Express* 用 IP_Compiler
  • Stratix® V SR-IOV 搭載 PCI Express* のハード IP インテル® FPGA IP
  • Stratix® V PCI Express* のハード IP インテル® FPGA IP
  • Avalon-MM Stratix® V PCI Express* のハード IP インテル® FPGA IP
  • Avalon-ST インテル® Stratix® 10 PCI Express* のハード IP
  • Avalon-MM インテル® Stratix® 10 PCI Express* のハード IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    PCIe* カード存在信号(PRSNT1_N、PRSNT2_N_X1、PRSNT2_N_X4、PRSNT2_N_X8、PRSNT2_N_X16)は、一部のスマート・ホスト・システムで正しく設定する必要があります。PCIe* リンクが正しくトレーニングされない場合があります。

    例えば、一部のシステムでは、そのスロットの現在の信号で示されているレーン数へのリンク・トレーニングのみを試みます。そのため、現在の信号がいずれも有効になっていない場合、カードは全くトレーニングを行わない可能性があります。例えば x1 存在する信号のみが有効になっている場合、x4、x8、x16 の実装では x1 インターフェイス構成としてのみトレーニングできます。

    解決方法

    この問題はホストシステムと BIOS に依存します。システムによっては、これらの信号を無視する場合があります。ハードウェアで PRSNT 信号が有効になっていることを確認します。インテル® FPGA Development Kits使用時には、通常は DIP スイッチを使用して PRSNT 信号を選択できます。

    関連製品

    本記事の適用対象: 18 製品

    インテル® Stratix® 10 FPGA & SoC FPGA
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Stratix® IV GX FPGA
    Stratix® IV GT FPGA
    Stratix® II GX FPGA
    Stratix® GX FPGA
    インテル® Arria® 10 FPGA & SoC FPGA
    Arria® V FPGA & SoC FPGA
    Arria® II FPGA
    インテル® Arria® FPGA
    Cyclone® V GX FPGA
    Cyclone® V GT FPGA
    Cyclone® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Cyclone® IV GX FPGA
    インテル® Cyclone® 10 GX FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。