記事 ID: 000080400 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2021/08/27

クロックドメインの交差を制約するにはどうすればよいですか?

環境

  • インテル® Quartus® Prime 開発ソフトウェア・プロ・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    マルチビット・クロック・ドメインの交差にタイミング制約を適用することが不可欠です。このバスにset_false_path制約がある場合、バス全体のスキューが 1 クロック期間以上になる可能性があり、機能的エラーを引き起こす可能性があります。

    1 つ目の要件は、2 つのクロックドメイン間にset_false_path制約がないことを意味します。セットアップと保留のためにそれらの間のパスを分析したくない場合は、優先順位の低いset_clock_groupsを使用できます。

    次に 、set_net_delay を使用してパスを制限して、できるだけ短くし 、set_max_skew.Set_max_skewはフィッターを制約しませんが、タイミング・アナライザーでこの制約に対して分析することができます。

    クロックドメインclk_aのdata_aとクロックドメインclk_bのdata_b間を交差するクロックドメインの制約は、次のようになります。

    create_clock -name clk_a -period 4.000 [get_ports {clk_a}]

    create_clock -name clk_b -period 4.500 [get_ports {clk_b}]

    set_clock_groups -asynchronous -group [get_clocks {clk_a}] -group [get_clocks {clk_b}]

    set_net_delay -from [get_registers {data_a[*]}] - [get_registers {data_b[*]}] -max -get_value_from_clock_period dst_clock_period -value_multiplier 0.8

    set_max_skew -from [get_keepers {data_a[*]}] - [get_keepers {data_b[*]}] -get_skew_value_from_clock_period min_clock_period -skew_value_multiplier 0.8

    実際のスキュー要件は、デザインとクロックドメインの交差をどのように処理したかによって異なります。

    最後に、タイミング・アナライザーで Report Max Skew Summary および Report Net Delay Summary を実行して、クロックドメインが交差するタイミングを確認します。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。