記事 ID: 000080275 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

ModelSim* ソフトウェアで .mif ファイルを使用している場合、シミュレーション中にメモリーが正しく初期化されないのはなぜですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • シミュレーション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Mentor Graphics® ModelSim® ソフトウェアの問題により、ユーザーが作成した、構文を使用するメモリー初期化ファイル (.mif)[A0..A1] : D0 D1 シミュレーション中に正しく初期化されない場合があります。この問題は、ModelSim SE および ModelSim-Altera Edition ソフトウェアを含むすべてのバージョンの ModelSim* ソフトウェアに影響します。この問題は合成には影響しません。

    [A0..A1] : D0 D1この構文を使用すると、データ D0 は場所に読み込まれ A0 、データ D1 は場所に読み込まれます A1 。このパターンは、メモリー内の 2 つのアドレス位置ごとに繰り返されます。この問題により、データ D0 は住所の両方の場所に読み込まれ A0 A1

    解決方法

    この問題を回避するには、構文を避けてください [A0..A1] : D0 D1 。各アドレスのデータ値は個別に指定する必要があります。

    Quartus® II ソフトウェアのメモリーエディターは構文を使用しないため [A0..A1] : D0 D1 、.mif のフォーマット変更や作成に使用できます。メモリーエディターは A0 : D0 、構文を使用して各アドレスのメモリー内容を個別に指定します。

    .mif形式の詳細については、Quartus® II ヘルプで「メモリー初期化ファイル」を検索してください。

    この問題は、ModelSim* ソフトウェアのすべてのバージョンの今後のリリースで修正される予定です。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。