記事 ID: 000079605 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2021/08/28

モデル・テクノロジー V-System/VHDL では、コンパイル前またはコンパイル後の VHDL シミュレーションをどのように実行しますか?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細 コンパイル前のシミュレーションでは、以下の手順を実行します。 1. MAX PLUS II ソフトウェアでデザインを作成します。 2. ローカルの作業ディレクトリーに新しいディレクトリーを作成します。 3. デザインをコピーする .vhd を新しいディレクトリーに挿入します。 4. コンパイルする前に、 .vhd< file, create a design library to hold the compilation results by typing the following command at a UNIX or PC prompt. A subdirectory will be created in your current working directory. vlib 5. コンパイルする 次のように入力して .vhd ファイルを表示します。 コマンド: vcom* .vhd 6. シミュレーターを呼び出すには、次のコマンドを入力します。 vsim コンパイル後のシミュレーションについては、MAX PLUS II でデザインを作成します。 コンパイルして、生成された VHDL 出力ファイル (.vho) を使用します。 を選択して、手順 2 ~ 6 を完了します。

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。