記事 ID: 000078773 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

ModelSim* で実行Nios II ModelSim* が ModelSim* ソフトウェアを起動できないのはなぜですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II v10.1 では、Eclipse向けソフトウェア・ビルド・ツールで「Run As Nios® II ModelSim®」を使用してアプリケーションNios II実行しようとすると、次のエラーメッセージが表示されます:「ファイルまたはディレクトリーはありません」。

    Quartus® II ソフトウェア・バージョン 10.1 でこの問題を解決するためのパッチが利用可能です。以下のリンクから適切なパッチと readme ファイルをダウンロードしてインストールします。

    この問題は、Quartus® II ソフトウェアの今後のリリースで修正される予定です。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。