記事 ID: 000078108 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

ライブラリーを参照して VHDL デザインファイルに Verilog HDL エンティティーを直接インスタンス化することは可能ですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II 合成には制限があるため、ライブラリーで参照することにより、VHDL デザインファイルに Verilog HDL モジュールを直接インスタンス化することはできません。例えば、次の構文を使用して Verilog HDL モジュールをインスタンス化することはできません。

    : entity .
    解決方法

    この制限を回避するには、インスタンス化する前に Verilog HDL モジュールのコンポーネント宣言を作成してください。コンポーネントは、パッケージ内またはデザインのアーキテクチャー・セクションで宣言できます。

    この制限は、Quartus® II ソフトウェアの今後のリリースで修正される予定です。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。