記事 ID: 000077989 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2021/08/27

.vcd ファイルを作成して、ModelSim* ソフトウェアで波形を表示するにはどうすればよいですか?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

.vcd ファイルは、シミュレーションのデバッグに役立つすべてのシミュレーション波形情報を含む IEEE 1364-1995 標準ファイルです。デザイン内のすべての信号が含まれているため、波形ウィンドウに信号を追加する必要がある場合はシミュレーションを再実行する必要はありません。

.vcd ファイルを作成するには:

1)     書き起こしウィンドウでデザインを正常にコンパイルおよび読み込む

2) VCD ファイル名を指定

-        構文:     vcd   ファイル.vcd

3) VCD が目的のインスタンスの下で信号をダンプできるようにします

-        構文:     vcd add /*

注:   このコマンドは、子インスタンスの信号をダンプしません。

                      暗号化されたインスタンスで VCD を有効にすると警告が生成されます

4) シミュレーションを実行して VCD データベースを生成

5) シミュレーションを終了する

-        構文:     quit –sim

Modelsim 波形ウィンドウの .vcd ファイルに信号を表示するには:

      1) ModelSim で VCD を WLF 形式に変換

-        構文:     vcd2wlf    

注:   変換に失敗した場合、ほとんどの場合、既存でないインスタンスパスが原因です。手順 3 で指定したインスタンスパスが正しいことを確認します。

2) 現在の ModelSim セッションを終了します (適切な VCD ファイルを生成するために ModelSim に必要)

3) ModelSim セッションを開き、ステップ 1 で作成した WLF ファイルを開きます。

-        ファイルメニュー -> Open -> file2.wlf

4) [オブジェクト] ウィンドウでデバッグ用の信号を選択し、[波形] ウィンドウに追加します。

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。