記事 ID: 000076732 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/27

eCPRI インテル® FPGA IP VHDL バリアントが Synopsys VCS MX® でシミュレートできないのはなぜですか?

環境

  • インテル® Quartus® Prime 開発ソフトウェア・プロ・エディション
  • インテル® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    インテル® Quartus® Prime 開発ソフトウェア・バージョン 20.1 以降の問題により、Synopsys VCS MX* で eCPRI インテル® FPGA IPの VHDL バージョンを使用すると、シミュレーターのコンパイラーがパラメーター「ADV_MAP」にタイプの不一致があることを示すエラーにフラグを立ててください。

    解決方法

    インテル® Quartus® Prime バージョン 20.1 以降でこの問題を回避するには、以下の手順に従ってください。

     

    1) eCPRI インテル® FPGA IPの生成されたトップレベル・ラッパー・ファイルをこちらで参照します。

            / sim


     

    2) パラメーター「ADV_MAP」に割り当てられている「ON」または「OFF」文字列をそれぞれ、以下のように整数1または0に変更します。

     

    差出人

    ADV_MAP: 文字列:= "ON";

     

    宛先

    ADV_MAP: 整数 := 1;

     

    差出人

    ADV_MAP =>"OFF"、

     

    宛先

    ADV_MAP => 0

     

    この問題は、インテル® Quartus® Prime 開発ソフトウェア・バージョン 20.3 以降修正されています。

    関連製品

    本記事の適用対象: 2 製品

    インテル® Stratix® 10 FPGA & SoC FPGA
    インテル® Arria® 10 FPGA & SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。