記事 ID: 000076422 コンテンツタイプ: エラーメッセージ 最終改訂日: 2021/08/27

エラー:auk_dspip_roundsat_hpfir.vhd(103): 値「4294967295」がターゲット制約範囲外 (-2147483848から2147483647)

環境

  • インテル® Quartus® Prime 開発ソフトウェア・プロ・エディション
  • FIR II インテル® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    インテル® Quartus® Prime 開発ソフトウェア・プロ・エディション・バージョン 19.2 の問題により、上記のエラーは、丸めモードで FIR II インテル® FPGA IPの出力幅が 32 ビット以上である場合に生じる場合があります。

    解決方法

    既存のソフトウェアでこのエラーを回避するには、IP パラメーター「出力 LSB 丸め」を「ストランピオン」に設定するか、または「丸め」を使用しますが、出力幅が 32 ビット未満であることを確認してください。

    この問題は、インテル® Quartus® Prime 開発ソフトウェア・プロ・エディションバージョン 20.1 以降修正されています。

    関連製品

    本記事の適用対象: 4 製品

    インテル® Arria® 10 FPGA & SoC FPGA
    インテル® Stratix® 10 FPGA & SoC FPGA
    インテル® Cyclone® 10 FPGA
    インテル® Agilex™ FPGA & SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。