記事 ID: 000075977 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

Quartus® II 合成で sclr でレジスターが使用されないのはなぜですか?

環境

  • リセット
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II 合成では、同じ同期クリア信号を使用するレジスターが最小数である場合を除き、sclr ピンを使用したレジスターは実装されません。同じ同期クリアーを共有するレジスターのみが同じラボにパックできるため、この動作により使用率の低下を回避できます。

    解決方法 同じ同期クリア信号で十分なレジスターがない場合でも、Quartus® II 合成に sclr ピンのレジスターを手動で強制するには、アサインメント・エディターのレジスターに 強制同期クリア信号の使用 を適用します。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。