記事 ID: 000075949 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/27

コンポーネント名< 10528 VHDL エラー>{}: 値 0 がターゲット制約範囲外 (1 ~ 2147483647)

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    このエラーは、Quartus® II ソフトウェア・バージョン 13.0 の問題により、VHDL を生成した Qsys システムの合成中に表示されることがあります。  不適切なデータタイプの「正」が HDL ライターによって広がり、VHDL コンポーネント宣言に互換性がありません。

    解決方法

    Quartus® II ソフトウェア・バージョン 13.0 でこの問題を回避するには:
    - Qsys GUI で合成に Verilog を選択します

    または

    - テキスト・エディターで影響を受ける IP の_hw.tcl を編集し、パラメーターのタイプを「正」から「整数」に変更します。

    この問題は現在、今後のインテル® Quartus® II ソフトウェアのバージョンで修正される予定です。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。