記事 ID: 000075915 コンテンツタイプ: エラーメッセージ 最終改訂日: 2021/08/27

エラー (10119): Verilog HDL Loop Statement エラー at <location>: 非定数ループ条件のループは、以下の範囲内で終了する必要があります <number> イテレーション</number></location>

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

このエラーは、合成ループ制限を超える合成を Verilog HDL のループで繰り返すと、Quartus® II ソフトウェアに表示されることがあります。この制限により、合成が無限ループに実行される可能性がありません。このループ制限は、デフォルトで 250 回の繰り返しに設定されています。

解決方法

このエラーを回避するには VERILOG_NON_CONSTANT_LOOP_LIMIT 、Quartus® II 設定ファイル(.qsf)のオプションを使用してループ制限を設定できます。例えば:

set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 300

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。