記事 ID: 000075697 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

「avalon_master.writedata とavl.avl_wdata間の接続は、UniPHY を使用した DDR2(3) SDRAM コントローラーの幅 [8,16,32,64,128,256,512,1024] である必要があるのはなぜですか?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

Qsys に UniPHY が実装された DDR2(3) SDRAM コントローラーが 2 Avalonの消費電力を使用しないように設定されている場合® データバスの場合、DDR2(3) コントローラー上のマスター・インターフェイスとスレーブ・インターフェイス間で接続できるのは 1 つだけです。DDR2(3) SDRAM コントローラーに 2 つのマスターを接続すると、上記のメッセージが表示されます。

DDR2(3) コントローラーのAvalon・スレーブ・インターフェイスに複数の接続が必要な場合、マスターの書き込みおよび読み取りデータ信号のサイズを 2 の大きな消費電力に増やし、DDR2(3) コントローラーのマスター接続とスレーブ・インターフェイス間にシンプルなバス・ガスケット (カスタム・コンポーネント) を実装します。ガスケットは、書き込みおよび読み取りデータを除くすべての信号を単に通過します。

書き込みデータの場合、ガスケットは必要なデータビット数のみを通過します。例えば、目的のメモリー・インターフェイスが 72 ビットの場合、ハーフレート・コントローラーのデータ幅は 288 になります。マスターはデータバスを 512 に切り上げ、書き込みデータを 224'0 で埋め込み、ガスケットは単に必要な 288 ビットを通過します。

-- ガスケットのマスター・インターフェイスを介して希望のデータビットを DDR に送信します。

avm_m0_writedata < = avs_s0_writedata (287 downto 0);

読み取りデータの場合、ガスケットは上部の 288 ビットを「0」で埋め込みます。

-- パッド定数を作成する

定数PAD_DATA: std_logic_vector(287 downto 0) := (その他 =>'0')

-- ガスケットのスレーブ・インターフェイスを介してマスターに読み取りデータを送信

avs_s0_readdata < = PAD_DATA & avm_m0_readdata

 

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。