記事 ID: 000075609 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2021/08/27

Quartus® II 統合合成を使用する際に、非常に小さいメモリーを推論するにはどうすればよいですか?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

Quartus® II 合成を実行している場合、推論されたメモリーが非常に小さい場合、テクノロジー・ブロックのメモリー構造にマッピングされない場合があります。これらの制限については、インテル® Quartus® II 統合合成ハンドブック (PDF) のトピック「インテル® Quartus® II 統合合成における RAM からロジックセルへの自動変換」のトピックで説明されています。

解決方法

これらの制限未満のメモリーをブロックメモリーに推論するには、次のいずれかのオプションを実行します。

  • プロジェクトの Quartus® II 設定ファイル(.qsf)に次の行を含めます。
    set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
  • Quartus® II GUI の [割り当て] メニューで [設定] をクリックして [設定] ダイアログボックスを開き、カテゴリー分析と合成設定を選択し、[その他の設定] をクリックします。[任意の ROM サイズの認識を許可する] 設定をオンにします。

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。