記事 ID: 000075507 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

低レイテンシー 40-100GbE CAUI-4 テストベンチが ModelSim* Simulator で正常にシミュレートできない

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • シミュレーション
  • イーサネット
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    低レイテンシーで生成されたAltera提供のテストベンチ 40 - 100GbE IP コア CAUI-4 バリエーションは正常にシミュレートできません ModelSim* シミュレーターで使用できます。

    解決方法

    この問題は、影響を受けているすべての問題についてテストされた一般的な回避策はありません。 IP コアのバージョン。ただし、以下の回避策が利用可能です。 IP コアのバージョン 15.0 の場合:

    1. CAUI-4 IP のテストベンチを生成する コアのバリエーション。手順は低で利用できます。 レイテンシー 40 および 100Gbps イーサネット MAC および PHY MegaCore ファンクション・ユーザー ガイド.
    2. 場所で run_vsim.do スクリプトを開きます。 ユーザーガイドで指定されている。スクリプトには次のステートメントが含まれています。 msim_setup.tcl ファイルのパスを識別します。
    3. テキスト・エディター で msim_setup.tcl ファイルを開きます。
    4. その中 elabelab_debug タスクで、 テキストをテキストに置き換えます eval vsim -t ps eval vsim -t 100fs
    5. 次の手順に従ってテストベンチを実行します。 ユーザーガイドを参照してください。テストベンチは正常にシミュレートする必要があります。

    この問題は今後の低レイテンシーで修正される予定です。 40Gbps および 100Gbps イーサネット MAC および PHY MegaCore ファンクション。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。