記事 ID: 000074756 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/29

pin_assignments.tcl が Qsys システムで不正なピン名を含んでいる

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    Qsys で生成されたシステムの場合、<variation_name>_pin_assignments.tclスクリプトは実行します。 正しいピン名を割り当てないでください。この状況は、 Qsys によって割り当てられたエンティティー名はまだ世代ごとに分かっていません。 <_pin_assignments.tcl スクリプト>variation_name場合 が生成されます。

    この問題は、すべての構成に影響を与えています。

    デザインのシミュレーションに失敗し、ハードウェアでは動作しません。

    解決方法

    IP コアを生成した後で、<variation_name>_pin_assignments.tcl スクリプトを編集し、 ラインを変更 set instance_name して正しいラインを指定します。 コントローラー・インスタンスの名前。

    この問題は修正されません。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。