記事 ID: 000074551 コンテンツタイプ: エラーメッセージ 最終改訂日: 2021/08/28

警告 & 無視されたフィルター: sv_reconfig_pma_testbus_clkがクロックと一致できませんでした

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    インテル® Quartus® II ソフトウェアのバージョン 12.0sp2 以前のバージョンで問題が発生したため、デザインにトランシーバー・リコンフィグレーション・コントローラーが複数搭載されている場合、フィット (配置 / 配線) 中にこの警告 Altera®が表示される場合があります。

    解決方法

    この問題を回避するには、トランシーバー・リコンフィグレーション・コントローラーの無視する「sv_reconfig_pma_testbus_clk」制約ごとに新しい「create_generated_clock」制約を追加してください。新しい制約は、ユーザー SDC ファイルに追加する必要があります。以下は 、INST_A および INST_Bという名前の 2 つのリコンフィグレーション・コントローラーの例です。

    create_generated_clock -name sv_reconfig_pma_testbus_clk_A - source [get_pins -compatibility_mode -no_duplicates INST_A*|basic|s5|reg_init[0]|clk] -divide_by 1 [get_registers INST_Asv_xcvr_reconfig_basic:s5|*alt_xcvr_arbiter:pif*|*grant*]

    create_generated_clock -name sv_reconfig_pma_testbus_clk_B -source [get_pins -compatibility_mode -no_duplicates INST_B*|basic|s5|reg_init[0]|clk] -divide_by 1 [get_registers INST_B*sv_xcvr_reconfig_basic:s5|*alt_xcvr_arbiter:pif*|*grant*]

    この問題は、インテル® Quartus® II ソフトウェアの今後のバージョンで修正される予定です。

    関連製品

    本記事の適用対象: 2 製品

    Stratix® V GS FPGA
    Stratix® IV GX FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。