記事 ID: 000073786 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

UniPHY を使用した QDR II および QDR II SRAM コントローラーに VHDL を選択または UniPHY を使用した RLDRAM II コントローラーを選択すると Verilog HDL IP コアが提供されます

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    DisplayPort IP コアは、デザインのrx_vid_locked信号をインテグレー RBR モード。レシーバーにデータが表示されません。

    シミュレーションでは、RBR モードではデザインをテストできません。シミュレーション テストは一定期間後にタイムアウトします。

    解決方法

    VHDL IP コアを生成するには、次の手順に従います。

    1. テキストエディターで開く <Quartus® II ディレクトリー>\ip\altera\uniphy\lib\altera_uniphy_qdrii_hw.tcl。
    2. 表示される文字列「 LANGUAGE 」を検索します。 次のコードで: append param_str ",LANGUAGE=[get_generation_property HDL_LANGUAGE]"
    3. この行を次のコードに変更します。 append param_str ",LANGUAGE=vhdl"
    4. 次の文字列の検索を続けます。 LANGUAGE" " は次のコードに表示されます。if {[string compare -nocase [get_generation_property HDL_LANGUAGE]� verilog] == 0} {� add_file /.v {SYNTHESIS SUBDIR}� puts "set_global_assignment -name VERILOG_FILE \[file� join $::quartus(qip_path) .v\]"� } else { add_file /.vhd {SYNTHESIS SUBDIR}� puts "set_global_assignment -name VHDL_FILE \[file join $::quartus(qip_path) .vhd\]" }�
    5. if行、行をコメントアウト else します。 および条件セクションのコードブロックは、コードが 「 」 ブロック内では else 、次のように常に実行されます。 次のコード:# if {[string compare -nocase [get_generation_property HDL_LANGUAGE] verilog] == 0} {� # add_file /.v {SYNTHESIS SUBDIR}� # puts "set_global_assignment -name VERILOG_FILE \[file join $::quartus(qip_path) .v\]"� # } else { add_file /.vhd {SYNTHESIS SUBDIR}� puts "set_global_assignment -name VHDL_FILE \[file join� $::quartus(qip_path) .vhd\]" # }
    6. MegaWizard インターフェイスを使用して UniPHY ベースの生成 IP コア。

    Verilog HDL IP コアを生成するには、元の altera_uniphy_qdrii_hw.tclファイルを復元します。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。