タイミング・アナライザーのクロック作成コマンド

author-image

投稿者:

create_clock コマンドを使用すると、任意のレジスター、ポート、ピンにクロックを作成できます。それぞれのクロックに固有の特性を持たせることができます。以下のリストに、create_clock コマンドと使用可能なオプションを示します。

create_clock
     -period <周期値>
     [-name <クロック名>]
     [-waveform <エッジリスト>]
     [-add]
     <ソースオブジェクト>

表 1 に create_clock コマンドのオプションを示します。

注:

  1. タイミング・アナライザーのデフォルトの時間単位は ナノ秒 (ns) です。

create_clock コマンドで定義されたクロックのデフォルトのソースレイテンシー値は 0 です。非仮想クロックの場合、タイミング・アナライザーは、クロックのネットワーク・レイテンシーを自動的に計算します。

100MHz クロック作成

この例では,ポート clk に 0ns を適用した時点で最初の立ち上がりエッジが発生する、デューティー・サイクル 50% の 10ns クロックを作成する方法を示します。

create_clock -period 10 -waveform { 0 5 } clk

100MHz クロックを 90 度シフトさせたクロックの作成

この例では,ポート clk_sys に適用される、デューティサイクル 50% で位相が 90 度シフトした 10ns のクロックを作成する例を示します。

create_clock -period 10 -waveform { 2.5 7.5 } clk_sys