記事 ID: 000085998 コンテンツタイプ: エラーメッセージ 最終改訂日: 2021/05/18

内部エラー: サブシステム: LVDS、ファイル: /quartus/periph/lvds/lvds_gen6.cpp、ライン: 787

環境

  • インテル® Quartus® Prime 開発ソフトウェア・プロ・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    インテル® Quartus® Prime 開発ソフトウェア・プロ・エディションのバージョン 20.3 以前の問題により、同じ IO バンク内にアウトクロック・チャネルを持つ複数の LVDS IP に PLL が接続されている場合、フィッター段階で上記の内部エラーが発生することがあります。この問題は 10 台インテル® Arria®デバイスにのみ影響します。

    解決方法

    この問題は、インテル® Quartus® Prime 開発ソフトウェア・プロ・エディションバージョン 21.1 から修正されています。

    関連製品

    本記事の適用対象: 1 製品

    インテル® Arria® 10 FPGA & SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。