コマンドラインから SignalTap™ II Logic Analyzer を使用してプロジェクトをコンパイルするには、まず次のコマンドを実行します。
quartus_stp --stp_file .stp --enable
このコマンドは SignalTap II ファイル(.stp)から情報を受け取り、Quartus® II 設定ファイル(.qsf)に追加します。次に、プロジェクトを通常どおりにコンパイルします。
たとえば、各プロセスを呼び出すスクリプトを実行する場合、最初の行にquartus_stpを追加します。
quartus_stp --stp_file .stp --enable
quartus_map
quartus_cdb --merge
quartus_fit
quartus_sta
quaruts_asm
プロジェクトを 1 行 --flow メソッドを使用してコンパイルする場合 ( quartus_sh --flow compile
) 、その前に quartus_stp コールを追加します。
SignalTap II ロジック・アナライザーをプロジェクトから削除するには、--disable スイッチを使用します。
quartus_stp --stp_file .stp --disable