記事 ID: 000085602 コンテンツタイプ: トラブルシューティング 最終改訂日: 2014/12/31

Arria V GZ およびStratix V デバイス向け CPRI IP コア・オートレート・ネゴシエーション・テストベンチが失敗する

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    CPRI IP コアがArria V GZ デバイスまたはStratixをターゲットとする場合 V デバイス、オートレート・ネゴシエーション・カスタマー・デモンストレーション・テストベンチ 失敗。

    この問題は、オートレート・ネゴシエーションを伴うすべての CPRI IP コアに影響を与えます。 有効になっている場合、Arria V GZ または Stratix V デバイスを対象とします。

    解決方法

    この問題を回避する方法はありません。

    この問題は、CPRI MegaCore ファンクションのバージョン 14.1 で修正されています。

    関連製品

    本記事の適用対象: 2 製品

    Stratix® V FPGA
    Arria® V GZ FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。