記事 ID: 000085162 コンテンツタイプ: トラブルシューティング 最終改訂日: 2012/09/11

derive_pll_clocks コマンドを実行した後で Time Optimizer タイミング・アナライザーが不正な PLL 出力クロック周波数を生成するのはなぜですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • PLL 数
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    インテル® Quartus® II ソフトウェア・バージョン 11.1 SP2 以前のバージョンで問題が発生したため derive_pll_clocks 、Time Columbus タイミング・アナライザーのコマンドにより、特定の PLL コンフィグレーションで誤った周波数のクロックが生成される場合があります。この問題は、Arria® V、Cyclone® V、Stratix® V デバイスを対象としたデザインに影響します。

    derive_pll_clocksこのオプションでコマンドを使用すると -create_base_clocks 、入力クロック周波数が正しい周波数の半分になる可能性があります。入力クロックがユーザーによって定義されている場合、PLL 出力クロックは正しい周波数の 2 倍になることがあります。

    この問題はタイミング解析にのみ影響します。デバイスに実装された PLL は、正しい乗算または分割係数を持っています。

    解決方法

    PLL 実装に問題がある場合は、PLL クロックを手動で制約してください。このコマンドを create_clock および コマンドで置き換えます derive_pll_clocks create_generated_clock

    この問題は、Quartus® II ソフトウェア・バージョン 12.0 から修正されています。

    関連製品

    本記事の適用対象: 14 製品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Cyclone® V GX FPGA
    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。