記事 ID: 000084365 コンテンツタイプ: トラブルシューティング 最終改訂日: 2014/06/30

なぜderive_pll_clocksが PLL 出力クロックの自動制約に失敗するのですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • PLL 数
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II ソフトウェアの問題により、Synopsys Design Constraint (SDC) コマンド derive_pll_clocks は、フェーズロック・ループ (PLL) 出力を適切に制約できません。この問題は、Stratix® V、Arria® V、Cyclone® V デバイスを含む 28nm デバイスで PLL クロック切り替えを使用する場合に生じます。この問題により、 derive_pll_clocks コマンドは、各リファレンス・クロック入力に対する PLL 出力に生成されたクロックを自動的に作成しません。

    解決方法

    この問題を回避するには、 create_generated_clock SDC コマンドを使用して PLL 出力を手動で制約します。詳細については、 関連記事 の セクションを参照してください。

    この問題は、インテル® Quartus® Prime 開発ソフトウェア・プロ・エディションまたはスタンダード・エディションのバージョン 11.0 以降で修正されています。

    関連製品

    本記事の適用対象: 14 製品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Cyclone® V GX FPGA
    Stratix® V GS FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GT FPGA
    Arria® V GX FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。