記事 ID: 000083528 コンテンツタイプ: トラブルシューティング 最終改訂日: 2012/09/11

MAX® 7000A/AE/B/S デバイスの出力ピン動作が、Quartus® II ソフトウェアのバージョン 3.0 以下のシミュレーションと一致しないのはなぜですか?

環境

  • シミュレーション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細 Quartus® II ソフトウェアのバージョン 3.0 以降では、1 つの例外的なケースで不正なプログラミング・ファイルを生成するストランバージ・モジュールに問題があります。

    この問題は次の状況でのみ発生します。

    • マクロセルの最初の製品用語は使用されていません
    • このマクロセルは、2 番目の製品用語を入力として NOR ゲートを実装するために XOR ゲートを使用して並列モードで接続されています。

    この場合、Quartus® II では最初の製品用語を無効にしませんが、MAXデバイスのアーキテクチャーで OR ゲートを供給することができます。製品用語が使用されていないので、製品用語の入力はフローティングのままであり、論理 0 ではなく論理 1 で OR ゲートが供給されます。この動作により、不正な出力が発生します。

    この問題は、インテル® Quartus® II ソフトウェア・バージョン 4.0 で修正されています。

    関連製品

    本記事の適用対象: 1 製品

    インテル® MAX® 7000A CPLD

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。