記事 ID: 000082525 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/29

ncelab: *E,CFEPLM: モードの外部モジュールポート * は、エンティティー / コンポーネントのポート / シグナルに関連付けられている必要がありますALTPCIE_AV_HIP_AST_HWTCL

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II ソフトウェアの問題により、Arria V ハード IP 向け PCIe VHDL シミュレーション・コードを使用した ncsim シミュレーションの ーレーション・プロセスでこのエラーが発生する場合があります。

    このエラーを回避するには、VHDL 形式ではなく Verilog 言語形式でArria V PCIe* シミュレーション・モデルを生成する必要があります。

    この問題は、Quartus® II ソフトウェアの今後のリリースで修正される予定です。

    関連製品

    本記事の適用対象: 2 製品

    Arria® V GT FPGA
    Arria® V GX FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。