記事 ID: 000079939 コンテンツタイプ: エラーメッセージ 最終改訂日: 2021/08/28

内部エラー: サブシステム: ASMPLL、ファイル: /quartus/comp/asmpll/asmpll_28nm.cpp、ライン: 231

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • PLL 数
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    Quartus® II ソフトウェア・バージョン 12.0 の問題により、HDL コードが通常モードまたはソース同期モードで PLL を実装し、外部クロック出力をドライブしている場合、このエラーが表示されることがあります。この問題は、Stratix® V、Arria® V、および Cyclone® V デバイスを対象とするデザインに影響します。

    解決方法

    この問題を回避するには、通常モードまたはソース同期モードと外部クロック出力を同時に使用しないでください。

    この問題は、Quartus® II ソフトウェア・バージョン 12.0 SP1 から修正されています。

    関連製品

    本記事の適用対象: 14 製品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Cyclone® V GX FPGA
    Stratix® V GS FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。