記事 ID: 000079792 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/29

Synopsys VCS-MX シミュレーターではシミュレートできない、Arria V GZ またはStratix V デバイスを対象とした CPRI v6.0 IP コア VHDL バリエーション

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • シミュレーション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    Arriaを対象とする CPRI v6.0 IP コアの VHDL バリエーション V GZ または Stratix V デバイスは Synopsys VCS-MX ではシミュレートできません シミュレータ。

    解決方法

    この問題を回避するには、次の方法で IP コアをシミュレートします。 Mentor Graphics ModelSim シミュレーター。

    あるいは、いくつかの手動でこの問題を回避できます。 生成された RTL コードに対する変更。生成した後で、 作成するには 、pll_sim.vhd ファイルを手動で変更する必要があります。 をシミュレートする前に、外部 TX PLL IP コアが生成する デザイン。

    次の場合、宣言とロジックのタイプを変更する必要があります。 pll_fb_swfboutclk 、 および hclk 信号 に std_logic_vector 、以下の変更を加えて ファイル内の:

    コンポーネント宣言で、このテキストを置き換えます。

    pll_fb_sw : in std_logic := \'X\'; fboutclk :out std_logic; hclk : out std_logic

    次のテキストを使用します。

    pll_fb_sw : in std_logic_vector(0 downto 0) := (others => \'X\'); fboutclk :out std_logic_vector(0 downto 0); hclk : out std_logic_vector(0 downto 0);

    ポートマップで、このテキストを置き換えます

    pll_fb_sw => \'0\',

    次のテキストを使用します。

    pll_fb_sw => "0",

    この問題は、CPRI v6.0 IP コアのバージョン 14.1 で修正されています。

    関連製品

    本記事の適用対象: 1 製品

    インテル® プログラマブル・デバイス

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。