記事 ID: 000078922 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/29

エラー・メッセージ「エラー: 差動 I/O 出力ピン」が表示される理由<name>」 は非差動位置に割り当てられます。<name>"</name></name>

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
詳細

インテル® Quartus® のバグのため®II 10.1sp1 以前のバージョン (PCI Express を再生成した場合)® x1 レーンから x4 または x8 などの複数レーンへのコア、 ソフトウェアは、<>_serdes.v(.vhd) ファイルを更新できません。その結果、上のレーンの一部がトランシーバーの物理チャネルに接続されていないため、 フィッターが以下のようなメッセージでエラー・アウトします。

  • エラー:差動 I/O 出力ピンpcie_tx1_o_pinは非差動位置 P2 に割り当てられます。ただし、差動入力場所ファイル () に割り当てられている必要があります。
  • エラー:差動 I/O 入力ピンpcie_rx1_i_pinが非差動位置 T2 に割り当てられます。ただし、差動入力場所ファイル () に割り当てられている必要があります。

この問題を回避するには、作業ディレクトリーから <>_serdes.v (.vhd) ファイルを削除してコアを再生成してください。

この問題は、インテル® Quartus® II ソフトウェアの今後のバージョンで修正される予定です。

関連製品

本記事の適用対象: 2 製品

Stratix® IV GX FPGA
Stratix® IV GT FPGA

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。