記事 ID: 000076472 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

altera_fp_functions比較機能は、必要なポートなしでトップレベルのファイルを生成します

環境

    インテル® Quartus® II サブスクリプション・エディション
    浮動小数点
BUILT IN - ARTICLE INTRO SECOND COMPONENT

クリティカルな問題

詳細

浮動小数点 IP の比較機能を作成する場合 13.1 Quartus® II ソフトウェア・リリースのコア数、トップレベルの .vhd ファイル 必要な b ポートなしで生成されます。この .vhd ファイル 比較関数を作成する場合にのみエラーが発生します。その他の機能 from altera_fp_functions は影響を受けません。

解決方法

この問題は、14.0 Quartus® II ソフトウェアのリリースで修正されています。

13.1 Quartus® II ソフトウェアのリリースでは、 cmp と呼ばれる機能を比較すると、ソフトウェアはトップレベルを生成します という名前 cmp.vhd のファイルです。このファイルには必須ファイルがありません "b" ポート。 cmp.vhd 次のように変更して次を含めることができます。 必須の「b」ポート:

entity cmp is

port (

clk : in std_logic := \'0\'; -- clk.clk

areset : in std_logic := \'0\'; -- areset.reset

a : in std_logic_vector(31 downto 0) := (others => \'0\'); -- a.a

b : in std_logic_vector(31 downto 0) := (others => \'0\'); -- b.b

q : out std_logic_vector(0 downto 0) -- q.q

);

end entity cmp;

architecture rtl of cmp is

component cmp_0002 is

port (

clk : in std_logic := \'X\'; -- clk

areset : in std_logic := \'X\'; -- reset

a : in std_logic_vector(31 downto 0) := (others => \'X\'); -- a

b : in std_logic_vector(31 downto 0) := (others => \'X\'); -- b

q : out std_logic_vector(0 downto 0) -- q

);

end component cmp_0002;

begin

cmp_inst: component cmp_0002

port map(

clk => clk, -- clk.clk

areset => areset, -- areset.reset

a => a, -- a.a

b => b, -- b.b

q => q -- q.q

);end architecture rtl; -- of cmp

関連製品

本記事の適用対象: 1 製品

インテル® プログラマブル・デバイス

1

このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。