クリティカルな問題
40GBASE-KR4 バリエーションのデモ・テストベンチ 40 および 100Gbps イーサネット MAC および PHY IP コアはシミュレートできません。 Cadence NCSIM シミュレーターで正常に終了しました。
エラーは 、run_ncsim.sh シミュレーターのスクリプトです。 次のエラー・メッセージの例は、エラーを示しています。
ncelab: *E,CUVMUR (./alt_e40_avalon_kr4_tb.sv,1213|14):
instance ‘alt_e40_avalon_kr4_tb.reco_bundle_1’ of design unit ‘sv_rcn_bundle’
is unresolved in ‘work.alt_e40_avalon_kr4_tb: module’.
この問題を回避するには、2 つのオプションが利用できます。
40GBASE-KR4 40-100GbE IP コアのデモをシミュレートできます。 Mentor Graphics ModelSim シミュレーターによるテストベンチ
または、テストベンチ・ファイルを編集することもできます。しなきゃいけません uned で実行されたシミュレーターの正常な実行に失敗した後もクリーンアップします。 ファイル。
次の変更により、IP コアのテストベンチ・ファイルが確実に作成されます。 Cadence NCSIM シミュレーターと互換性があります。
- ディレクトリーを_example/alt_e40_e100/example_testbenchに変更します。
- テキスト・エディター でファイル run_ncsim.sh を開きます。 次の既存の行を次の新しいコマンドで置き換えます。
- ファイルkr4_example_files.txtを開きます。 をクリックして、次の 2 行を削除します。
- 作成した ライブラリー・ ディレクトリーを削除します。 un run_ncsim.sh ファイルを使用したシミュレーションの実行に失敗しました。
この既存の行を置き換えます。
ncvlog -F ./kr4_example_files.txt
この置き換えコマンドで:
ncvlog -sv -F ./kr4_example_files.txt
../example/common/alt_e40_e_reco/alt_e40_e_reco/altera_xcvr_functions.sv
../example/common/alt_e40_e_reco/alt_e40_e_reco/xv_xcvr_h.sv
この問題は、40 / 100Gbps のバージョン 14.0 で修正されています。 イーサネット MAC および PHY MegaCore ファンクション