記事 ID: 000075078 コンテンツタイプ: トラブルシューティング 最終改訂日: 2015/11/13

UFM 読み込み動作向け インテル® MAX® 10 FPGA オンチップ・フラッシュ IP に関して、既知の問題がありますか?

環境

  • インテル® Quartus® II ソフトウェア
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    インテル® Quartus® Prime ソフトウェアの既知の問題により、オンチップ・フラッシュ IP を使用して インテル® MAX® 10 デバイスでユーザー・フラッシュ・メモリー (UFM) を読み取る際に、データの読み取りエラーが発生する可能性があります。

    解決方法

    これを回避するには、以下のように altera_onchip_flash_avmm_data_controller.v ファイルを変更します。このファイルは、 Quartus インストール・フォルダー\ip\altera\altera_onchip_flash\altera_onchip_flash\altera_onchip_flash_avmm_data_controller.v

    翻訳元:

         flash_read_addrを割り当てる = (is_read_busy) ?flash_seq_read_ardin:avmm_addr;

    変更:

         flash_read_addrを割り当てる = avmm_addr;(is_read_busy)flash_seq_read_ardin:avmm_addr;

    この問題は、インテル® Quartus® Prime 開発ソフトウェアの将来のバージョンで修正される予定です。

    関連製品

    本記事の適用対象: 1 製品

    インテル® MAX® 10 FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。