記事 ID: 000074853 コンテンツタイプ: 製品情報 & ドキュメント 最終改訂日: 2019/12/21

インテル® Arria® 10 および インテル Cyclone 10 GX デバイスを使用する際、内部および外部メモリーモデルで ASMI インテル FPGA IP®をシミュレートする方法は?

環境

  • インテル® Quartus® Prime 開発ソフトウェア・プロ・エディション
  • 汎用コンポーネント
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    詳細

    内部メモリーモデルのユースケースでは、現在、EPCQL1024 シリアル・コンフィグレーション・デバイスのフラッシュモデルを使用した、インテル® Arria® 10 および インテル Cyclone® 10 GX デバイスの ASMI インテル FPGA IPのシミュレーションのみをサポートしています。

    「解決方法」セクションの手順に従って、内部 EPCQL モデルでシミュレーションを実行します (注: このシミュレーションは Mentor Graphics* ModelSim* SE シミュレーション・ソフトウェアでテストされました)。

    解決方法
    1. ASMI ブロックのシミュレーション・モデルを作成し、enable_sim・パラメーターをファイル内で true に設定します。
      プロジェクト・ディレクトリー>/<ASMIIP 名>/<altera_asmi_parallel_<quartus_version>>/<sim>/<ip_name>altera_asmi_parallel_<quartus_version>_<unique_ip_id>.v を<
    2. 次の例のように、ASMI ブロックの初期化を使用してテストベンチファイルを作成します。

    <ip_name>_altera_asmi_parallel_<quartus_version>_<unique_ip_id> dut(

    .clkin (clkin)、/clkin.clk

    .fast_read (fast_read)、/fast_read.fast_read

    .rden (rden)、/rden.rden

    .addr (addr)、/addr.addr

    .read_status (read_status)、/read_status.read_status

    .write (書き込み)、// write.write

    .datain (datain)、/datain.datain

    .sector_protect (sector_protect)、/sector_protect.sector_protect

    .sector_erase (sector_erase)、/sector_erase.sector_erase

    .die_erase (die_erase)、/die_erase.die_erase

    .wren (レン), // wren.wren

    .read_rdid (read_rdid)、/read_rdid.read_rdid

    .en4b_addr (en4b_addr)、/en4b_addr.en4b_addr

    .ex4b_addr (ex4b_addr)、/ex4b_addr.ex4b_addr

    リセット (リセット)、//reset.reset

    .sce (sce)、/sce.sce

    .dataout (データアウト)、/dataout.dataout

    .busy (busy)、/busy.busy

    .data_valid (data_valid)、/data_valid.data_valid

    .status_out (status_out)、/status_out.status_out

    .illegal_write (illegal_write)、/illegal_write.illegal_write

    .illegal_erase (illegal_erase)、/illegal_erase.illegal_erase

    .read_address (read_address)、/read_address.read_address

    .rdid_out (rdid_out) // rdid_out.rdid_out

    );

    1. シミュレーションを実行します。

    外部メモリーモデルをシミュレートするには、次の手順に従います。

    1. ASMI ブロックのシミュレーション・モデルを作成し、ファイル内のenable_sim・パラメーターを false に設定します。
      プロジェクト・ディレクトリー>/<ASMI IP 名>/<altera_asmi_parallel_<quartus_version>>/<sim>/<ip_name>altera_asmi_parallel_<quartus_version>_<unique_ip_id>.v を<
    2. ASMI ブロックの初期化を使用してテストベンチファイルを作成します (前のコード例に示すように):
    3. 外部メモリーのラッパーを作成する
    4. シミュレーション・プロジェクトで、作業フォルダーに対して以下のファイルをコンパイルします。
    • フラッシュ・シミュレーション・モデル
    • asmi_sim_model デザインラッパー
    • テストベンチ・ファイル
    1. シミュレーションを実行します。

    関連製品

    本記事の適用対象: 2 製品

    インテル® Cyclone® 10 GX FPGA
    インテル® Arria® 10 FPGA & SoC FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。