記事 ID: 000073771 コンテンツタイプ: トラブルシューティング 最終改訂日: 2021/08/28

Stratix IV で PCI Express 向け IP コンパイラーをコンパイルする際にタイミング分析の警告が表示されるのはなぜですか?

環境

  • インテル® Quartus® II サブスクリプション・エディション
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    クリティカルな問題

    詳細

    Stratix®IVで PCIExpress*® IP コンパイラーのタイミング分析を実行すると、自動生成される Synopsys Design Constraint (SDC) ファイルに関連する警告が表示されます。

    解決方法

    各タイミング解析制約警告を解決するには、以下の altera_pci_express.sdc ファイルの回避策に従ってください。

    [警告]
    警告 (332174: altera_pci_express.sdc(14): *refclk_exportはポート、ピン、レジスター、キーパー、ネットと一致できませんでした。

    [回避策]
    変更 altera_pci_express.sdc 14 行目から
                    create_clock -period "100 MHz" -name {refclk_pci_express} {*refclk_export}
    宛先
                    create_clock -period "100 MHz" -name {refclk_pci_express} [get_ports PCIE_REFCLK]

    [警告]
    警告 (332174): altera_pci_express.sdc(18): *central_clk_div0* がクロックと一致できませんでした
    警告 (332174: altera_pci_express.sdc(18): *_hssi_pcie_hip* がクロックと一致できませんでした

    [回避策]
    変更 altera_pci_express.sdc 18 行目から
                    set_clock_groups -exclusive -group [get_clocks { *central_clk_div0* }] -group [get_clocks { *_hssi_pcie_hip* }]
    宛先
                    set_clock_groups -exclusive -group [get_clocks *central_clk_div0* ] - group [get_clocks *_hssi_pcie_hip* ]

    この問題は今後のリリースでは修正されません。

    関連製品

    本記事の適用対象: 2 製品

    Stratix® IV GX FPGA
    Stratix® IV FPGA

    このページのコンテンツは、元の英語のコンテンツを人力翻訳および機械翻訳したものが混在しています。この内容は参考情報および一般的な情報を提供するためものであり、情報の正確さと完全性を保証するものではありません。インテルは不正確な翻訳があった場合でもいかなる責任を負いません。このページの英語版と翻訳の間に矛盾がある場合は、英語版に準拠します。 このページの英語版をご覧ください。