インテル® Agilex™ FシリーズおよびIシリーズ汎用I/Oユーザーガイド

ID 683780
日付 3/28/2022
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

6.1.3. GPIO Intel® FPGA IPのパラメーター設定

インテル® Quartus® Prime開発ソフトウェアでGPIO IPのパラメーター設定を設定できます。オプションには、GeneralBuffer、およびRegistersの3つのグループがあります。
表 40.   GPIO IPパラメーター - General
パラメーター 条件 許容値 説明
Data Direction
  • Input
  • Output
  • Bidir

GPIOのデータ方向を指定します。

Data width

1から128

データ幅を指定します。

Use legacy top-level port names
  • On
  • Off

Stratix® V Arria® V、および Cyclone® Vデバイスと同じポート名を使用します。

例えば、doutdataout_hdataout_l になり、dindatain_hdatain_l になります。

注: これらのポートの動作は、 Stratix® V Arria® V、および Cyclone® Vデバイスとは異なります。移行ガイドラインについては、関連情報を参照してください。
表 41.   GPIO IPパラメーター - Buffer
パラメーター 条件 許容値 説明
Use differential buffer
  • On
  • Off

オンにした場合、差動I/Oバッファーがイネーブルになります。

Use pseudo differential buffer
  • Data Direction = Output
  • Use differential buffer = On
  • On
  • Off

出力モードでオンにすると、擬似差動出力バッファーがイネーブルになります。

Use differential bufferをオンにすると、このオプションは双方向モードで自動的にオンになります。

Use bus-hold circuitry
  • Data Direction = Input または Bidir
  • Use differential buffer = Off
  • On
  • Off

オンにすると、バスホールド回路が微弱な電流でI/Oピンの信号を最後に駆動されたステートに保持します。これにより、出力バッファーのステートはハイインピーダンスではなく1または0になります。

Use open drain output
  • Data Direction = Output または Bidir
  • Use differential buffer = Off
  • On
  • Off

オンにすると、オープンドレイン出力によってデバイスが割り込み信号や書き込みイネーブル信号といったシステムレベルのコントロール信号を提供できるようになります。これらの信号は、システム内の複数のデバイスによりアサートすることができます。

Enable output enable port Data Direction = Output
  • On
  • Off

オンにすると、OEポートへのユーザー入力がイネーブルになります。このオプションは、双方向モードでは自動的にオンになっています。

Enable seriestermination/ paralleltermination ports
  • On
  • Off

オンにすると、出力バッファーの terminationcontrol ポートがイネーブルになり、ユーザーモードのOCTキャリブレーションが可能になります。

表 42.   GPIO IPパラメーター - Registers
パラメーター 条件 許容値 説明
Register mode
  • None
  • Simple register
  • DDIO

GPIO IPのレジスターモードを指定します。

  • None - バッファー間の単純な配線接続を指定します。
  • Simple register - DDIOがシングル・データ・レート・モード (SDR) の単純なレジスターとして使用されることを指定します。FitterはこのレジスターをI/Oにパックすることができます。
  • DDIO - IPコアがDDIOを使用することを指定します。
Enable synchronous clear / preset port Register mode = DDIO
  • None
  • Clear
  • Preset

同期リセットポートの実装方法を指定します。

  • None - 同期リセットポートをディスエーブルします。
  • Clear - 同期クリア用に SCLR ポートをイネーブルします。
  • Preset - 同期プリセット用にSSET ポートをイネーブルします。
Enable asynchronous clear / preset port Register mode = DDIO
  • None
  • Clear
  • Preset

非同期リセットポートの実装方法を指定します。

  • None - 非同期リセットポートをディスエーブルします。
  • Clear - 非同期クリア用に ACLR ポートをイネーブルします。
  • Preset - 非同期プリセット用に ASET ポートをイネーブルします。

ACLR 信号と ASET 信号はアクティブHighです。

Enable clock enable ports Register mode = DDIO
  • On
  • Off
  • On - クロックイネーブル (CKE) ポートを公開し、データがクロックインまたはクロックアウトする際の制御を可能にします。この信号により、データがユーザーの制御なしで通過することを防ぎます。
  • Off - クロック・イネーブル・ポートは公開されず、データは常にレジスターを自動的に通過します。
Half Rate logic Register mode = DDIO
  • On
  • Off
オンにすると、ハーフレートDDIOがイネーブルになります。
Separate input/output Clocks
  • Data Direction = Bidir
  • Register mode = Simple register または DDIO
  • On
  • Off
オンにすると、双方向モードの入力パスと出力パスに対して別々のクロック (CK_IN および CK_OUT) をイネーブルします。