インテル® Agilex™ FシリーズおよびIシリーズ汎用I/Oユーザーガイド

ID 683780
日付 3/28/2022
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

ドキュメント目次

6.1.4. GPIO Intel® FPGA IPのインターフェイス信号

指定したパラメーター設定に応じて、GPIO IPで使用できるインターフェイス信号が異なります。
図 30.  GPIO IPのインターフェイス


図 31.  GPIOのインターフェイス信号
表 43.  パッド・インターフェイス信号パッド・インターフェイスは、GPIO IPからパッドへの物理的な接続です。このインターフェイスは、IPコンフィグレーションに応じて、入力、出力、または双方向のインターフェイスになります。この表のSIZEは、IPパラメーター・エディターで指定されたデータ幅です。
信号名 入力/出力 説明
pad_in[SIZE-1:0] 入力

パッドからの入力信号です。

pad_in_b[SIZE-1:0] 入力

パッドからの差動入力信号の負ノードです。このポートは、Use differential bufferオプションをオンにした場合に使用できます。

pad_out[SIZE-1:0] 出力 パッドへの出力信号です。
pad_out_b[SIZE-1:0] 出力

パッドへの差動出力信号の負ノードです。このポートは、Use differential bufferオプションをオンにした場合に使用できます。

pad_io[SIZE-1:0] 双方向

パッドとの双方向信号接続です。

pad_io_b[SIZE-1:0] 双方向

パッドとの差動双方向信号接続の負ノードです。このポートは、Use differential bufferオプションをオンにした場合に使用できます。

表 44.  データ・インターフェイス信号データ・インターフェイスは、GPIO IPからFPGAコアへの入力または出力インターフェイスです。この表のSIZEは、IPパラメーター・エディターで指定されたデータ幅です。
信号名 入力/出力 説明
din[DATA_SIZE-1:0] 入力

出力または双方向モードでのFPGAコアからのデータ入力です。DATA_SIZE は、次のレジスターモードによって異なります。

  • バイパスまたはシンプルレジスター - DATA_SIZE = SIZE
  • ハーフレート・ロジックなしのDDIO - DATA_SIZE = 2 × SIZE
  • ハーフレート・ロジックありのDDIO - DATA_SIZE = 4 × SIZE
dout[DATA_SIZE-1:0] 出力

入力または双方向モードでのFPGAコアに出力されるデータです。DATA_SIZEは、次のレジスターモードによって異なります。

  • バイパスまたはシンプルレジスター - DATA_SIZE = SIZE
  • ハーフレート・ロジックなしのDDIO - DATA_SIZE = 2 × SIZE
  • ハーフレート・ロジックありのDDIO - DATA_SIZE = 4 × SIZE
oe[OE_SIZE-1:0] 入力

Enable output enable portがオンになっている出力モードまたは双方向モードでのFPGAコアからのOE入力です。OEはアクティブHighです。データを送信するときは、この信号を1に設定します。データを受信するときは、この信号を0に設定します。OE_SIZEは、レジスターモードによって異なります。

  • バイパスまたはシンプルレジスター - DATA_SIZE = SIZE
  • ハーフレート・ロジックなしのDDIO - DATA_SIZE = SIZE
  • ハーフレート・ロジックありのDDIO - DATA_SIZE = 2 × SIZE

×4 DQグループの実装については、関連情報を参照してください。

表 45.  クロック・インターフェイス信号クロック・インターフェイスは、入力クロック・インターフェイスです。コンフィグレーションに応じて、さまざまな信号から構成されます。GPIO IPは、0、1、2、または4つのクロック入力を有することができます。クロックポートは、クロック信号によって実行される実際の機能を反映するために、さまざまなコンフィグレーションで異なって表示されます。
信号名 入力/出力 説明
ck 入力

入力パスと出力パスでは、Half Rate logicパラメーターをオフにすると、このクロックはパッキングされたレジスターまたはDDIOに供給します。

双方向モードでは、Separate input/output Clocksパラメーターをオフにすると、このクロックは入力パスと出力パスの一意のクロックになります。

ck_fr 入力

入力パスと出力パスでは、Half Rate logicパラメーターをオンにすると、これらのクロックはフルレートDDIOとハーフレートDDIOに供給します。

双方向モードでは、Separate input/output Clocksパラメーターをオフにすると、入力パスと出力パスでこれらのクロックが使用されます。

ck_hr
ck_in 入力

双方向モードでは、次の両方の設定を指定すると、これらのクロックは入力パスと出力パスでパッキングされたレジスターまたはDDIOに供給します。

  • Half Rate logicパラメーターをオフにする
  • Separate input/output Clocksパラメーターをオンにする
ck_out
ck_fr_in 入力

双方向モードでは、次の両方の設定を指定すると、これらのクロックは入力パスと出力パスでフルレートDDIOとハーフレートDDIOに供給します。

  • Half Rate logicパラメーターをオンにする
  • Separate input/output Clocksパラメーターをオンにする

例えば、ck_fr_out は出力パスでフルレートDDIOに供給します。

ck_fr_out
ck_hr_in
ck_hr_out
cke 入力 クロックイネーブルです。

×4 DQグループの実装については、関連情報を参照してください。

表 46.  終端インターフェイス信号終端インターフェイスは、GPIO IPをI/Oバッファーに接続します。
信号名 入力/出力 説明
terminationcontrol 入力 終端制御ブロック (OCT) からバッファーへの入力です。バッファー直列および並列インピーダンス値を設定します。
表 47.  リセット・インターフェイス信号リセット・インターフェイスは、GPIO IPコアをDDIOに接続します。×4 DQグループの実装については、関連情報を参照してください。
信号名 入力/出力 説明
sclr 入力

同期クリア入力です。Enable synchronous clear / preset portオプションで None または Preset を選択した場合は使用できません。

aclr 入力

非同期クリア入力。アクティブHighです。Enable asynchronous clear / preset portオプションで None または Preset を選択した場合は使用できません。

aset 入力

非同期セット入力。アクティブHighです。Enable asynchronous clear / preset portオプションで None または Clear を選択した場合は使用できません。

sset 入力 同期クリア入力です。Enable synchronous clear / preset portオプションで None または Clear を選択した場合は使用できません。