インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1. Tclスクリプティング

更新対象:
インテル® Quartus® Prime デザインスイート 18.1
この翻訳版は参照用であり、翻訳版と英語版の内容に相違がある場合は、英語版が優先されるものとします。翻訳版は、資料によっては英語版の更新に対応していない場合があります。最新情報につきまし ては、必ずこの翻訳版は参照用であり、翻訳版と英語版の内容に相違がある場合は、英語版が優先されるものとします。翻訳版は、資料によっては英語版の更新に対応していない場合があります。最新情報につきまし ては、必ず英語版の最新資料をご確認ください。
Tclスクリプトを使用して、 インテル® Quartus® Prime開発ソフトウェアを制御し、デザインのコンパイルや一般的なタスクのスクリプト作成など、さまざまな機能を実行することができます。

たとえば、Tclスクリプトを使用して次のタスクを実行します。

  • インテル® Quartus® Primeプロジェクトの管理
  • アサインメントの作成
  • デザイン制約の定義
  • デバイス・アサインメントの作成
  • デザインのコンパイル
  • タイミング分析の実行
  • レポートへのアクセス

また、Tclスクリプトによって、プロジェクトまたはアサインメントの移行が容易になります。たとえば、同じプロトタイプまたは開発ボードを異なるプロジェクトで使用する場合に、新しいプロジェクトごとにピン位置の再アサインメントを自動化するスクリプトを作成できます。 インテル® Quartus® Prime開発ソフトウェアはまた、プロジェクトにおける現在のすべてのアサインメントに基づいてTclスクリプトを生成し、アサインメントを別のプロジェクトに簡単に切り替えることができるようにします。

インテル® Quartus® Prime開発ソフトウェアのTclコマンドは、EDA業界のTclアプリケーション・プログラム・インターフェイス (API) 規格に基づいて、コマンドライン・オプションを使用します。これにより、Tclコマンドを簡単に習得し使用することができます。コマンド引数でエラーが発生した場合、Tclインタープリターは正しい使用法を示すヘルプ情報を提示します。

この章では、 インテル® Quartus® Prime開発ソフトウェアのタスクを自動化するためのTclスクリプトの例を示します。これらのスクリプト例を変更して独自のデザインで使用することができます。その他のTclスクリプトの例は、アルテラ・ウェブサイトの「サポート」の「Design Examples」の項で参照できます。