Low Latency Ethernet 10G MAC Intel® FPGA IPユーザーガイド

ID 683426
日付 8/23/2021
Public
ドキュメント目次

2.10.2.2. クロッククロッサー

クロッククロッサーは、あるクロックドメインから別のクロックドメインにクロスするマルチビット信号を実行します。

クロッククロッサーの動作原理は、データがラッチされたクロックドメインで有効であることを示す前に、クロスオーバーされたデータを最初に安定させることです。このような構造を使用する場合、データビットは複数のラッチされたクロック周期の間スキューしてはなりません。タイミング制約ファイルは、ラッチされたクロックドメインに関係なく、すべてのクロッククロッサーに共通のタイミングチェックを適用します。これは、CSRクロックに交差する信号に対しては悲観的ですが、内部テスト中における重大なランタイムの影響や誤った違反などの副作用はありません。デザインがIP内でクロッククロッサーのタイミング違反パスに遭遇し、ラッチされたクロックドメインが csr_clk である場合、違反が1 csr_clk 期間未満の場合は手動で、または、.sdc ファイルを編集することによって違反を却下できます。

タイミング制約ファイルは、set_net_delay を使用してフィッターの配置を制約し、set_max_skew を使用してパスのタイミングチェックを実行します。デバイスの使用率が非常に高いプロジェクトの場合、インテルでは、配置配線プロセスを支援するために、フロアプランやLogic Lockなどの追加手順を実装することをお勧めします。追加の手順により、set_net_delay だけに依存するのではなく、これらのパスに沿ってより一貫したタイミング・クロージャーを提供できます。

set_max_skew の使用する際の注意点は、問題のパスの挿入遅延が制限を超えているかどうかを解析しないという点です。つまり、パスはスキュー要件を満たすことができますが、挿入遅延が予想よりも長くなります。これがチェックされていない場合、特定の遅延の影響を受けやすいパスで機能障害が発生する可能性があります。したがって、カスタムスクリプト (alt_em10g32_clock_crosser_timing_info.tcl) を使用して、ラウンドトリップ・クロック・クロッサー遅延が予想内にあることを確認できます。このスクリプトを使用するには、手動でユーザーフローに追加して実行します。IPコアが正しく動作するためには、結果がポジティブ (エラーなし) である必要があります。