インテルのみ表示可能 — GUID: ntz1535573669856
Ixiasoft
2.2.8.5.1. デフォルトのマルチサイクル分析
2.2.8.5.2. End Multicycle Setup = 2およびEnd Multicycle Hold = 0
2.2.8.5.3. End Multicycle Setup = 2およびEnd Multicycle Hold = 1
2.2.8.5.4. デスティネーション・クロックオフセット付きの同じ周波数クロック
2.2.8.5.5. デスティネーション・クロックの周波数がソースクロック周波数の倍数である場合
2.2.8.5.6. デスティネーション・クロックの周波数がオフセットを持つソースクロック周波数の倍数である場合
2.2.8.5.7. ソースクロックの周波数がデスティネーション・クロックの周波数の倍数である場合
2.2.8.5.8. ソースクロックの周波数がオフセットを持つデスティネーション・クロックの周波数の倍数である場合
インテルのみ表示可能 — GUID: ntz1535573669856
Ixiasoft
2.2.4.2. エンティティーにバインドされた制約の例
次の例は、エンティティーにバインドされた制約の自動スコープと手動スコープを示しています。
図 54. 自動スコープの例
次の表は、図 54に適用される制約の自動スコープを示しています。
制約の例 | インスタンスX|Yの自動スコープ制約の解釈 |
---|---|
set_false_path -from [get_keepers a] | set_false_path -from [get_keepers X|Y|a] |
set_false_path -from [get_registers a] -to “*” | set_false_path -from [get_registers X|Y|a] |
set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] | set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] |
set_max_delay –from [get_ports in] -to [get_registers A] 2.0 | set_max_delay –from [get_ports in] -to [get_registers X|Y|A] 2.0 |
get_ports * | get_ports * |
get_clocks * |
get_clocks * |
get_ports a |
get_ports a |
get_clocks a |
get_clocks a |
注: 表のget_ports aおよびget_clocks aは、コレクション・フィルターに任意の名前を使用する単なる例です。これらの例は、ポートとクロックがインスタンスのスコープ内にないグローバルなトップレベルのオブジェクトであるため、get_portsとget_clocksのコレクション・フィルターが自動制約スコープの対象にならないことを示しています。
図 55. 手動スコープの例
次の表は、図 55に適用される制約の手動範囲を示しています 。
制約の例 | 手動スコープ制約の解釈 |
---|---|
|
|
|
|