インテルのみ表示可能 — GUID: mwh1414613513009
Ixiasoft
インテルのみ表示可能 — GUID: mwh1414613513009
Ixiasoft
2.1.5.6. 制約をタイミングレポートに関連付ける
次の図は、特定のパスでReport Timingを実行した結果を示しています。
create_clock -name clocktwo -period 10.000 [get_ports {clk2}]
set_multicycle_path -from clocktwo -to clocktwo -setup -end 2
set_multicycle_path -from clocktwo -to clocktwo -hold -end 1
set_max_delayおよびset_min_delay制約は、セットアップ関係を明示的にオーバーライドします。これらのさまざまな制約で変更されるのは、セットアップおよびホールド解析の起動エッジ時間とラッチエッジ時間のみであることに注意してください。他のすべてのラインアイテムは、FPGA内の遅延から発生し、特定のフィットに対して静的です。これらのレポートを表示して、制約がタイミングレポートに与える影響を分析します。
I/Oの場合、set_input_delayおよびset_output_delay制約を追加する必要があります。これらの制約は、デザインのI/Oポートに接続するFPGAデザインの外部からの信号の遅延を示します。これらの制約の値は、外部レジスターとデザイン上のポート間の外部信号の遅延です。set_input_delayおよびset_output_delayの-clock引数は、外部信号が属するクロックドメイン、またはI/Oポートに接続された外部レジスターのクロックドメインを指定します。-minおよび-maxオプションは、ワーストケースまたはベストケースの遅延を指定します。どちらのオプションも指定しないと、ワーストケースとベストケースの遅延が等しくなります。 I/O遅延は、TypeカラムにiExtまたはoExtとして表示されます。例は、set_output_delay -max 1.0およびset_output_delay -min -0.5の出力ポートです。詳細については、「仮想クロックの作成」および「I/O制約の作成」を参照してください。
クロック関係は、転送の起動とラッチのクロックエッジの差であり、クロック波形、マルチサイクル制約、最小および最大遅延制約によって決定されます。Timing AnalyzerはまたoExt値としてset_output_delayの値を追加します。出力の場合、これは分析の外部部分であるため、この値はData Required Pathの一部です。Data Arrival PathはData Required Pathよりも短くなければならないため、セットアップレポートでは-max値が差し引かれ、セットアップ関係が満たされにくくなります。Timing Analyzerは、-min値も減算します。この減算は、負の数がより制限的なホールドタイミングを引き起こす理由です。Data Arrival PathはData Required Pathより長くなければなりません。