インテルのみ表示可能 — GUID: mwh1417741307219
Ixiasoft
2.2.8.5.1. デフォルトのマルチサイクル分析
2.2.8.5.2. End Multicycle Setup = 2およびEnd Multicycle Hold = 0
2.2.8.5.3. End Multicycle Setup = 2およびEnd Multicycle Hold = 1
2.2.8.5.4. デスティネーション・クロックオフセット付きの同じ周波数クロック
2.2.8.5.5. デスティネーション・クロックの周波数がソースクロック周波数の倍数である場合
2.2.8.5.6. デスティネーション・クロックの周波数がオフセットを持つソースクロック周波数の倍数である場合
2.2.8.5.7. ソースクロックの周波数がデスティネーション・クロックの周波数の倍数である場合
2.2.8.5.8. ソースクロックの周波数がオフセットを持つデスティネーション・クロックの周波数の倍数である場合
インテルのみ表示可能 — GUID: mwh1417741307219
Ixiasoft
2.2.5.3.2. クロック・ジッターの例
クロック・マルチプレクサー(mux)の出力は、生成されたクロックの形式です。 各入力クロックには、出力に1つの生成クロックが必要です。次の.sdcの例にはset_clock_groupsコマンドも含まれており、2つの生成されたクロックがデザインで同時にアクティブにならないことを示しています。したがって、Timing Analyzerは、クロック・マルチプレクサーの出力で生成されたクロック間のクロスドメインパスを分析しません。
図 60. クロック・マルチプレクサー
create_clock -name clock_a -period 10 [get_ports clk_a]
create_clock -name clock_b -period 10 [get_ports clk_b]
create_generated_clock -name clock_a_mux -source [get_ports clk_a] \
[get_pins clk_mux|mux_out]
create_generated_clock -name clock_b_mux -source [get_ports clk_b] \
[get_pins clk_mux|mux_out] -add
set_clock_groups -exclusive -group clock_a_mux -group clock_b_mux